enmes 发表于 2023-9-5 22:25:16

求助:vivado和modelsim仿真问题

从vivado可以启动modelsim,但是modelsim报错# ** Error: (vsim-19) Failed to access library 'vsim' at "vsim".用quartus和modelsim仿真时没有报错。求助解决方法
页: [1]
查看完整版本: 求助:vivado和modelsim仿真问题