明德扬吴老师 发表于 2019-9-29 09:15:39

01计数器

01计数器

计数器是FPGA设计的基础,可以毫不夸张地说,80%的FPGA设计中都会用到计数器。在一般人的印象中,以为本章节是教“设计计数器”的,其实我们是在教“使用计数器”的。
    本章节,就是教授如何“使用计数器”来设计各种的案例。

前面部分是使用明德扬传统方法来设计的,后面的章节则是用最新的“至简设计法”来实现,请注意区分。


一、计数器介绍(明德扬设计方法)

                  01计数器的使用       (点我观看视频)

                  
                  


二、计数器练习(练习才能学得真功夫)

          1 计数器之流水灯练习

                  流水灯练习说明.         (点我观看视频)
                  流水灯练习思路.         (点我观看视频)

                  
                  


          2 计数器之循环交通灯练习

                  循环交通灯练习说明.   (点我观看视频)
                  循环交通灯练习答案.   (点我观看视频)

                  
                  
                  

          3 计数器之南北走向交通灯

                  南北走向交通灯练习说明.   (点我观看视频)
                  南北走向交通灯练习答案.   (点我观看视频)

                  
                  
                  

          4 计数器之秒表计时练习

                  秒表计时练习说明.    (点我观看视频)
                  秒表计时练习答案.    (点我观看视频)
                  
                  
                  

         5 计数器之循环无规律数字显示练习

                  循环无规律数字显示练习说明.   (点我观看视频)
                  循环无规律数字显示练习答案.   (点我观看视频)

                  
                  
                  
         6 计数器之多数码管循环显示练习

                  多数码管循环显示练习说明.   (点我观看视频)
                  多数码管循环显示练习说明答案(点我观看视频)

                  
                  
                  

            7 计数器之具暂停功能的数字时钟练习

                  具暂停功能的数字时钟练习说明.    (点我观看视频)
                  具暂停功能的数字时钟练习答案.    (点我观看视频)

                     
                  
                  

三、至简设计法_计数器规范


(本章介绍至简设计法的规则,熟练应用这个规则,有助于设计出规范的代码)

                  (1)三要素.       (点我观看视频)
                  (2)初值为0.      (点我观看视频)
                  (3)结束值为0.    (点我观看视频)
                  (4)计数模板.   (点我观看视频)
                  (5)命名规范.   (点我观看视频)
                  (6)数值意义.   (点我观看视频)
                  (7)取值格式.   (点我观看视频)
                  (8)结束条件.   (点我观看视频)
                  (9)取值范围.   (点我观看视频)
                  (10)向框架对齐.(点我观看视频)
                  

四、至简设计法_计数器架构八步法


(本章介绍使用至简设计法时的注意事项)

                  (1)时钟和信号的关系                     (点我观看视频)
                  (2)计数器模板编写顺序                     (点我观看视频)
                  (3)加1条件写flag和add_cnt的不同         (点我观看视频)
                  (4)为什么先设计计数器                     (点我观看视频)
                  (5)1-51个or2个计数器                  (点我观看视频)
                  (6)1-5 重复计数or连续计数               (点我观看视频)
                  (7)1-6 x和x-1的区别                     (点我观看视频)
                  (8)数据整合方式                           (点我观看视频)
                  (9)组合逻辑补全条件                                 (点我观看视频)
                  (10)信号的两种赋值方式                              (点我观看视频)
                  (11)定义特殊点的作用 重复冗余条件                   (点我观看视频)
                  (12)flag定义的技巧                                  (点我观看视频)
                  (13)阶段3练习2思路1 超过计数周期的处理            (点我观看视频)
                  (14)阶段3练习2思路2 不连续则清零的处理            (点我观看视频)

五、至简设计法_聊聊计数器应用


(本章介绍下“计数器”在FPGA中的应用情况)

                  (1)大部分公司的代码情况.         (点我观看视频)
                  (2)应用举例.                     (点我观看视频)
                  (3)至简设计法在项目中的应用.       点我观看视频)


closure 发表于 2019-11-22 09:57:02

谢谢老师

pjc1152 发表于 2020-4-17 14:16:31

条理清晰,还是很给力

明德扬周老师 发表于 2020-10-23 10:13:52

基础课程没学够?推荐购买明德扬高手修炼课,64个计数器和状态机的专项训练,快速提高设计技巧,让你成为设计高手!


详情请点击:https://item.taobao.com/item.htm?spm=a1z10.1-c.w4004-22068459804.2.791839cf4SWQ4E&id=607832883713

竹子兰花酒 发表于 2021-7-8 10:54:14

计数器之流水灯练习中,计数器没有采用至简设计法中的计数器规范,结束条件必须同时满足加1条件。
页: [1]
查看完整版本: 01计数器